The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for modulo with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1975 (15) 1976-1980 (16) 1981-1983 (15) 1984-1985 (23) 1986-1987 (29) 1988 (28) 1989 (22) 1990 (21) 1991 (28) 1992 (43) 1993 (32) 1994 (38) 1995 (39) 1996 (44) 1997 (35) 1998 (59) 1999 (53) 2000 (54) 2001 (67) 2002 (71) 2003 (93) 2004 (80) 2005 (128) 2006 (124) 2007 (141) 2008 (158) 2009 (148) 2010 (79) 2011 (69) 2012 (91) 2013 (84) 2014 (110) 2015 (98) 2016 (97) 2017 (103) 2018 (95) 2019 (87) 2020 (98) 2021 (99) 2022 (109) 2023 (125) 2024 (28)
Publication types (Num. hits)
article(1214) book(3) data(1) incollection(10) inproceedings(1699) phdthesis(40) proceedings(9)
Venues (Conferences, Journals, ...)
CoRR(235) SMT(71) RTA(55) IEEE Trans. Computers(52) IACR Cryptol. ePrint Arch.(43) CADE(42) Discret. Math.(38) EUROCRYPT(38) IEEE Trans. Inf. Theory(37) CRYPTO(31) SMT@IJCAR(26) ICALP(25) ISSAC(24) Theor. Comput. Sci.(24) LPAR(23) ICASSP(20) More (+10 of total 812)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1152 occurrences of 750 keywords

Results
Found 2976 publication records. Showing 2976 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
103Daniel M. Lavery, Wen-mei W. Hwu Modulo Scheduling of Loops in Control-intensive Non-numeric Programs. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF control-intensive, modulo variable expansion, instruction-level parallelism, software pipelining, speculation, modulo scheduling
89Clément Houtmann Axiom Directed Focusing. Search on Bibsonomy TYPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF superdeduction, Proof theory, focusing, deduction modulo
85Yosi Ben-Asher, Danny Meisler Towards a Source Level Compiler: Source Level Modulo Scheduling. Search on Bibsonomy Program Analysis and Compilation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
85Yosi Ben-Asher, Danny Meisler Towards a Source Level Compiler: Source Level Modulo Scheduling. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
81Gilles Dowek, Thérèse Hardin, Claude Kirchner Theorem Proving Modulo. Search on Bibsonomy J. Autom. Reason. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF sequent calculus modulo, resolution, rewriting, automated theorem proving, higher-order logic, cut elimination, narrowing, Skolemization, deduction modulo
72Eric Stotzer, Ernst L. Leiss Modulo scheduling without overlapped lifetimes. Search on Bibsonomy LCTES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF instruction level parallelism, register allocation, software pipelining, modulo scheduling
72Guillaume Burel, Claude Kirchner Cut Elimination in Deduction Modulo by Abstract Completion. Search on Bibsonomy LFCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Knuth-Bendix completion, automated deduction and interactive theorem proving, proof ordering, abstract canonical system, cut elimination, deduction modulo
71Edward Chu Yeow Peh, Ying-Chang Liang Power and modulo loss tradeoff with expanded soft demapper for LDPC coded GMD-THP MIMO systems. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
68Jean-Luc Beuchat Some Modular Adders and Multipliers for Field Programmable Gate Arrays. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modulo m addition, modulo m multiplication, FPGA, Computer arithmetic
63Shibu Menon, Chip-Hong Chang A Reconfigurable Multi-Modulus Modulo Multiplier. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Tsuneo Nakanishi, Kazuki Joe, Constantine D. Polychronopoulos, Akira Fukuda The Modulo Interval: A Simple and Practical Representation for Program Analysis. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF modulo, program analysis, dependence analysis, arithmetic, interval
56Richard Bonichon TaMeD: A Tableau Method for Deduction Modulo. Search on Bibsonomy IJCAR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Josep Llosa, Stefan M. Freudenberger Reduced code size modulo scheduling in the absence of hardware support. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Klaus Huber The MacWilliams theorem for two-dimensional modulo metrics. Search on Bibsonomy Appl. Algebra Eng. Commun. Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Mannheim metric, Two-dimensional Hexagonal Modulo Metric, Two-dimensional squared Euclidean Modulo Metric, MacWilliams theorem, Weight enumerator, Block codes
50Riyaz A. Patel, Mohammed Benaissa, Said Boussakta Fast Parallel-Prefix Architectures for Modulo 2n-1 Addition with a Single Representation of Zero. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Modulo 2n-1 adders, One's complement adders, computer arithmetic, VLSI design, parallel-prefix adders
50Hyunchul Park 0001, Kevin Fan, Manjunath Kudlur, Scott A. Mahlke Modulo graph embedding: mapping applications onto coarse-grained reconfigurable architectures. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF graph embedding, modulo scheduling, coarse-grained reconfigurable architecture
50Costas Efstathiou, Haridimos T. Vergos, Dimitris Nikolos Modulo 2n±1 Adder Design Using Select-Prefix Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modulo 2n± 1 adders, select-prefix adders, computer arithmetic, VLSI architectures
49Koji Shigemoto, Kensuke Kawakami, Koji Nakano Accelerating Montgomery Modulo Multiplication for Redundant Radix-64k Number System on the FPGA Using Dual-Port Block RAMs. Search on Bibsonomy EUC (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Guillaume Burel Unbounded Proof-Length Speed-Up in Deduction Modulo. Search on Bibsonomy CSL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF rewriting, arithmetic, higher order logic, proof theory
49Doosan Cho, Ravi Ayyagari, Gang-Ryung Uh, Yunheung Paek Instruction Re-selection for Iterative Modulo Scheduling on High Performance Multi-issue DSPs. Search on Bibsonomy EUC Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
49ShaoWei Weng, Yao Zhao 0001, Jeng-Shyang Pan 0001 Reversible Watermarking Based on Improved Patchwork Algorithm and Symmetric Modulo Operation. Search on Bibsonomy KES (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49Bin Cao, Chip-Hong Chang, Thambipillai Srikanthan A new formulation of fast diminished-one multioperand modulo 2n/+1 adder. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49Shaoqiang Bi, Warren J. Gross, Wei Wang 0003, Asim J. Al-Khalili, M. N. S. Swamy An Area-Reduced Scheme for Modulo 2n-1 Addition/Subtraction. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
49Matthew C. Merten, Wen-mei W. Hwu Modulo schedule buffers. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
49Zhongde Wang, Graham A. Jullien, William C. Miller An efficient tree architecture for modulo 2n+1 multiplication. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
47Frédéric Blanqui Rewriting Modulo in Deduction Modulo. Search on Bibsonomy RTA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Hyunok Oh, Nikil D. Dutt, Soonhoi Ha Shift buffering technique for automatic code synthesis from synchronous dataflow graphs. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic code synthesis, modulo buffering, shift buffering, buffer management, synchronous dataflow
45Stephen S. Yau, Jackson Chung On the Design of Modulo Arithmetic Units Based on Cyclic Groups. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1976 DBLP  DOI  BibTeX  RDF modulo arithmetics, modulo arithmetic units, design, residue number system, cyclic groups, Binary encoding
44Koji Nakano, Kensuke Kawakami, Koji Shigemoto RSA encryption and decryption using the redundant number system on the FPGA. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
44Kazuyuki Amano, Akira Maruoka Some Properties of MODm Circuits Computing Simple Functions. Search on Bibsonomy CIAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modular circuits, composite modulus, lower bounds, Fourier analysis, symmetric functions
43Clark W. Barrett, Leonardo Mendonça de Moura, Aaron Stump Design and results of the 2nd annual satisfiability modulo theories competition (SMT-COMP 2006). Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Competition, Decision procedures, Automated theorem proving, SMT, Satisfiability modulo theories
43Costas Efstathiou, Haridimos T. Vergos, Giorgos Dimitrakopoulos, Dimitris Nikolos Efficient Diminished-1 Modulo 2^n+1 Multipliers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Modulo 2^n+1 multipliers, Fermat number transform, computer arithmetic, VLSI design, residue number system
43Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero Register Constrained Modulo Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Instruction level parallelism, register allocation, instruction scheduling, modulo scheduling, spill code
43Josep M. Codina, Josep Llosa, Antonio González 0001 A comparative study of modulo scheduling techniques. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction level parallel architectures, instruction scheduling, Modulo scheduling, comparative study, quantitative evaluation
43B. Ramakrishna Rau Iterative modulo scheduling: an algorithm for software pipelining loops. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF software pipelining, instruction scheduling, modulo scheduling, loop scheduling
43Jean-Pierre Jouannaud, Claude Marché Completion modulo Associativity, Commutativity and Identity (AC1). Search on Bibsonomy DISCO The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Class rewriting, Constrained rewriting, Completion modulo AC1, Constrained completion, Rewrite orderings, Termination
42Yuan Chen, Yao Mao, Qunjiao Zhang On Modulo Linked Graphs. Search on Bibsonomy FAW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Francisco Durán 0001, Salvador Lucas, José Meseguer 0001 Termination Modulo Combinations of Equational Theories. Search on Bibsonomy FroCoS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
42Hyejung Kim, Yongsang Kim, Hoi-Jun Yoo A 6.3nJ/op low energy 160-bit modulo-multiplier for elliptic curve cryptography processor. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
42Sridhar Srinivasan Modulo transforms - an alternative to lifting. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Kevin Fan, Manjunath Kudlur, Hyunchul Park 0001, Scott A. Mahlke Cost Sensitive Modulo Scheduling in a Loop Accelerator Synthesis System. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Shugang Wei Number conversions between RNS and mixed-radix number system based on Modulo (2p - 1) signed-digit arithmetic. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Bin Cao, Thambipillai Srikanthan, Chip-Hong Chang A new design method to modulo 2n-1 squaring. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Jeffrey Sheldon, Walter Lee, Ben Greenwald, Saman P. Amarasinghe Strength Reduction of Integer Division and Modulo Operations. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Debaleena Das, Nur A. Touba, Markus Seuring, Michael Gössel Low Cost Concurrent Error Detection Based on Modulo Weight-Based Codes. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF error detecting codes, Concurrent error detection, self-checking checkers
42Alexandre E. Eichenberger, Edward S. Davidson, Santosh G. Abraham Minimum register requirements for a modulo schedule. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
39John R. B. Whittlesey A comparison of the correlational behavior of random number generators for the IBM 360. Search on Bibsonomy Commun. ACM The full citation details ... 1968 DBLP  DOI  BibTeX  RDF 32-bit versus 36-bit word size, IBM 360, congruential generators, digital shift-register generators, linear recurrence modulo two, primitive trinomials modulo two, serial correlation, statistical tests for randomness, random numbers, irreducible polynomials, pseudorandom number generators, prime numbers, autocorrelation function
38Hongbo Rong, Zhizhong Tang, Ramaswamy Govindarajan, Alban Douillet, Guang R. Gao Single-dimension software pipelining for multidimensional loops. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Software pipelining, loop transformation, modulo scheduling
38Daniel Kästner, Markus Pister 0002 Generic Software Pipelining at the Assembly Level. Search on Bibsonomy SCOPES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF PROPAN, software pipelining, modulo scheduling, postpass optimization
36Shaoqiang Bi, Warren J. Gross The Mixed-Radix Chinese Remainder Theorem and Its Applications to Residue Comparison. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Shugang Wei, Kensuke Shimizu Error Detection of Arithmetic Circuits Using a Residue Checker with Signed-Digit Number System. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF residue addition, residue multiplication, signed-digit(SD) number representation, SD adder, error detection, residue number system(RNS)
36G. B. Fitzpatrick Synthesis of Binary Ring Counters of Given Periods. Search on Bibsonomy J. ACM The full citation details ... 1960 DBLP  DOI  BibTeX  RDF
36Cristina Borralleras, Salvador Lucas, Rafael Navarro-Marset, Enric Rodríguez-Carbonell, Albert Rubio Solving Non-linear Polynomial Arithmetic via SAT Modulo Linear Arithmetic. Search on Bibsonomy CADE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF polynomial constraints, SAT modulo theories, program analysis, termination, Constraint solving
36Miao Wang, Rongcai Zhao, Jianmin Pang, Guoming Cai Reconstructing Control Flow in Modulo Scheduled Loops. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF register rotation, modulo scheduling, decompilation, predication execution, conditional branches
36Kevin Fan, Hyunchul Park 0001, Manjunath Kudlur, Scott A. Mahlke Modulo scheduling for highly customized datapaths to increase hardware reusability. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF programmable asic, modulo scheduling, loop accelerator
36Nikola Vujic, Marc González 0001, Xavier Martorell, Eduard Ayguadé Automatic Pre-Fetch and Modulo Scheduling Transformations for the Cell BE Architecture. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cell BE Architecture, Modulo Scheduling, Pre-fetching, Software Cache
36Hitoshi Ohsaki, Hiroyuki Seki Languages Modulo Normalization. Search on Bibsonomy FroCoS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF tree automata modulo axioms, equational rewriting, Boolean closedness, hedge automata and XML schema, regularity, decidability
36François Panneton, Pierre L'Ecuyer, Makoto Matsumoto Improved long-period generators based on linear recurrences modulo 2. Search on Bibsonomy ACM Trans. Math. Softw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF GFSR linear recurrence modulo 2, linear feedback shift register, Random number generation, Mersenne twister
36Robert Nieuwenhuis, Albert Oliveras, Cesare Tinelli Solving SAT and SAT Modulo Theories: From an abstract Davis--Putnam--Logemann--Loveland procedure to DPLL(T). Search on Bibsonomy J. ACM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF SAT solvers, Satisfiability Modulo Theories
36Vitalij Ocheretnij, Michael Gössel, Egor S. Sogomonyan, Daniel Marienfeld Modulo p=3 Checking for a Carry Select Adder. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF concurrent checking, modulo checking, carry select adder
36Clark W. Barrett, Leonardo Mendonça de Moura, Aaron Stump Design and Results of the First Satisfiability Modulo Theories Competition (SMT-COMP 2005). Search on Bibsonomy J. Autom. Reason. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF competition, decision procedures, satisfiability modulo theories
36Kamilla Klonowska, Lars Lundberg, Håkan Lennerstad, Charlie Svahnberg Using Modulo Rulers for Optimal Recovery Schemes in Distributed Computing. Search on Bibsonomy PRDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF recovery schemes, modulo sequence, fault tolerance, high performance computing, Golomb rulers
36Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos Diminished-One Modulo 2n+1 Adder Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modulo $big. 2^{rm n}+1bigr.$ addition, carry look-ahead addition, diminished-one number representation, VLSI adders, parallel-prefix adders
36Josep M. Codina, F. Jesús Sánchez, Antonio González 0001 A Unified Modulo Scheduling and Register Allocation Technique for Clustered Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF register allocation, Modulo scheduling, clustered architectures, spill code, cluster assignment
36Lampros Kalampoukas, Dimitris Nikolos, Costas Efstathiou, Haridimos T. Vergos, John Kalamatianos High-Speed Parallel-Prefix Modulo 2n-1 Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Modulo $2^n-1$ adders, VLSI design, parallel-prefix adders, carry look-ahead adders
34Xin Li 0009, Marc Moreno Maza, Wei Pan 0001 Computations modulo regular chains. Search on Bibsonomy ISSAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fast polynomial arithmetic, regular chain, regular gcd, polynomial systems, triangular decomposition, subresultants
34Haralabos C. Papadopoulos, Carl-Erik W. Sundberg Precoded Modulo-Precanceling Systems for Simulcasting Analog FM and Digital Data. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Camilo Rocha, José Meseguer 0001 Theorem Proving Modulo Based on Boolean Equational Procedures. Search on Bibsonomy RelMiCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Hyunchul Park 0001, Kevin Fan, Scott A. Mahlke, Taewook Oh, Heeseok Kim, Hong-Seok Kim Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF operand routing, programmable accelerator, software pipelining, coarse-grained reconfigurable architecture
34Haridimos T. Vergos, Dimitris Bakalis On the Use of Diminished-1 Adders for Weighted Modulo 2n + 1 Arithmetic Components. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Lin Gao 0002, Quan Hoang Nguyen 0001, Lian Li 0002, Jingling Xue, Tin-Fook Ngai Thread-Sensitive Modulo Scheduling for Multicore Processors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Doosan Cho, Ravi Ayyagari, Gang-Ryung Uh, Yunheung Paek Preprocessing Strategy for Effective Modulo Scheduling on Multi-issue Digital Signal Processors. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Lisa Allali Algorithmic Equality in Heyting Arithmetic Modulo. Search on Bibsonomy TYPES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Gyula Györ Representing the Boolean OR Function by Quadratic Polynomials Modulo 6. Search on Bibsonomy FCT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Chip-Hong Chang, Shibu Menon, Bin Cao, Thambipillai Srikanthan A configurable dual moduli multi-operand modulo adder. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jarno K. Tanskanen, Tero Sihvo, Jarkko Niittylahti Byte and modulo addressable parallel memory architecture for video coding. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Siva Anantharaman, Paliath Narendran, Michaël Rusinowitch Unification Modulo ACUI Plus Distributivity Axioms. Search on Bibsonomy J. Autom. Reason. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF equational unification, counter machines, complexity, decidability, rewriting, set constraints, Post correspondence problem
34Haridimos T. Vergos, Costas Efstathiou Diminished-1 Modulo 2n + 1 Squarer Design. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Alex Fit-Florea, David W. Matula A Digit-Serial Algorithm for the Discrete Logarithm Modulo 2k. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34D. V. Ravindra, Y. N. Srikant Improved Preprocessing Methods for Modulo Scheduling Algorithms. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Johann Großschädl Instruction Set Extension for Long Integer Modulo Arithmetic on RISC-Based Smart Cards. Search on Bibsonomy SBAC-PAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Haridimos T. Vergos, Dimitris Nikolos, Costas Efstathiou High Speed Parallel-Prefix Modulo 2n+1 Adders for Diminished-One Operands. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Javier Zalamea, Josep Llosa, Eduard Ayguadé, Mateo Valero MIRS: Modulo Scheduling with Integrated Register Spilling. Search on Bibsonomy LCPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Instruction-Level Parallelism, Register Allocation, Software Pipelining, Spill Code
34Uma Mahadevan, Kevin Nomura, Roy Dz-Ching Ju, Rick Hank Applying Data Speculation in Modulo Scheduled Loops. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Alexandre E. Eichenberger, Edward S. Davidson Efficient Formulation for Optimal Modulo Schedulers. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Karl C. Posch, Reinhard Posch Modulo Reduction in Residue Number Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
34Philippe Toffin, Marc Girault, Brigitte Vallée How to Guess l-th Roots Modulo n by Reducing Lattice Bases. Search on Bibsonomy AAECC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
32Suhyun Kim, Soo-Mook Moon, Jinpyo Park, Kemal Ebcioglu Unroll-Based Copy Elimination for Enhanced Pipeline Scheduling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF enhanced pipeline scheduling, unrolling, modulo variable expansion, iterated coalescing, register allocation, Software pipelining, modulo scheduling, renaming, coalescing
31Grigoris Dimitroulakos, Nikos Kostaras, Michalis D. Galanis, Costas E. Goutis Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays. Search on Bibsonomy J. Supercomput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Coarse-grained reconfigurable arrays, High productivity tools, Modulo scheduling, Architectural exploration, Compiler techniques
31Mazen Kharbutli, Yan Solihin, Jaejin Lee Eliminating Conflict Misses Using Prime Number-Based Cache Indexing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cache hashing, cache indexing, prime modulo, odd-multiplier displacement, conflict misses
31Olivier Hermant Semantic Cut Elimination in the Intuitionistic Sequent Calculus. Search on Bibsonomy TLCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF intuitionistic sequent calculus, cut admissibility, cut elimination property, semantic, Kripke Structure, deduction modulo
31Johann Großschädl A Bit-Serial Unified Multiplier Architecture for Finite Fields GF(p) and GF(2m). Search on Bibsonomy CHES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF iterative modulo multiplication, polynomial basis representation, bit-serial multiplier architecture, smart card crypto-coprocessor, Elliptic curve cryptography, finite field arithmetic
31Prateek Sarkar, George Nagy, Jiangying Zhou, Daniel P. Lopresti Spatial Sampling of Printed Patterns. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF random phase sampling, document defect models, scanner models, modulo-grid diagram, locales, optical character recognition, digitization, Spatial sampling
29Guillaume Burel Automating Theories in Intuitionistic Logic. Search on Bibsonomy FroCoS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
29Paul Brauner, Clément Houtmann, Claude Kirchner Principles of Superdeduction. Search on Bibsonomy LICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Daniel A. Jiménez, Gabriel H. Loh Controlling the Power and Area of Neural Branch Predictors for Practical Implementation in High-Performance Processors. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Andriyan Bayu Suksmono, Astri Handayani, Akira Hirose Snake in Phase Domain: A Method for Boundary Detection of Objects in Phase Images. Search on Bibsonomy IJCNN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Stéphane Grumbach, Maurizio Rafanelli, Leonardo Tininini On the equivalence and rewriting of aggregate queries. Search on Bibsonomy Acta Informatica The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Christopher Lynch Schematic Saturation for Decision and Unification Problems. Search on Bibsonomy CADE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Anders Lindström, Michael Nordseth, Lars Bengtsson, Amos Omondi Arithmetic Circuits Combining Residue and Signed-Digit Representations. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2976 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license