The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase near-threshold (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2009 (15) 2010-2011 (24) 2012 (25) 2013 (29) 2014 (21) 2015 (30) 2016 (47) 2017 (31) 2018 (33) 2019 (24) 2020 (27) 2021-2022 (26) 2023-2024 (13)
Publication types (Num. hits)
article(144) book(1) data(1) incollection(6) inproceedings(189) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11 occurrences of 11 keywords

Results
Found 345 publication records. Showing 345 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
89Avesta Sasan, Fadi J. Kurdahi, Ahmed M. Eltawil Resizable Data Composer (RDC) Cache: A Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance. Search on Bibsonomy Near Threshold Computing The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
89Avesta Sasan, Fadi J. Kurdahi, Ahmed M. Eltawil Erratum to: Chapter 4 Resizable Data Composer (RDC) Cache: A Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance. Search on Bibsonomy Near Threshold Computing The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
89Shekhar Borkar Extreme Energy Efficiency by Near Threshold Voltage Operation. Search on Bibsonomy Near Threshold Computing The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
89Ioannis S. Stamelakos, Sotirios Xydis, Gianluca Palermo, Cristina Silvano Variability-Aware Voltage Island Management for Near-Threshold Computing with Performance Guarantees. Search on Bibsonomy Near Threshold Computing The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
74Tobias Gemmeke, Mohamed M. Sabry, Jan Stuijt, Pieter Schuddinck, Praveen Raghavan, Francky Catthoor Memories for NTC. Search on Bibsonomy Near Threshold Computing The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
74Josep Torrellas Many-Core Architecture for NTC: Energy Efficiency from the Ground Up. Search on Bibsonomy Near Threshold Computing The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
68Mohammad Reza Kakoee, Ashoka Visweswara Sathanur, Antonio Pullini, Jos Huisken, Luca Benini Automatic synthesis of near-threshold circuits with fine-grained performance tunability. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF near threshold, sub-threshold performance, variability compensation, low power, ultra low power, dual VDD, sub-threshold
49Bo Zhai, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester Energy efficient near-threshold chip multi-processing. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF near-threshold, energy efficient, CMP, subthreshold
44Ronald G. Dreslinski, Gregory K. Chen, Trevor N. Mudge, David T. Blaauw, Dennis Sylvester, Krisztián Flautner Reconfigurable energy efficient near threshold cache architectures. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Sangwon Seo, Ronald G. Dreslinski, Mark Woh, Chaitali Chakrabarti, Scott A. Mahlke, Trevor N. Mudge Diet SODA: a power-efficient processor for digital cameras. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF digital still cameras, near-threshold, dynamic voltage scaling, SIMD
30Chao Wang 0016, Jun Zhou 0017, Xin Liu 0015, Muthukumaraswamy Annamalai Arasu, Minkyu Je A sub-threshold to super-threshold Level Conversion Flip Flop for sub/near-threshold dual-supply operation. Search on Bibsonomy A-SSCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
22Monica Gupta, Kirti Gupta, Neeta Pandey A data-independent 9T SRAM cell with enhanced ION/IOFF ratio and RBL voltage swing in near threshold and sub-threshold region. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Young Min Park, Kwangsu Kim, Byoung Jin Kim, Eui-Young Chung Asymmetric Slew Logic Threshold Method on Near Threshold Region. Search on Bibsonomy ICSCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Xin He, Guihai Yan, Yinhe Han 0001, Xiaowei Li 0001 Wide Operational Range Processor Power Delivery Design for Both Super-Threshold Voltage and Near-Threshold Voltage Computing. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Scinob Kuroki, Junji Watanabe, Shin'ya Nishida Dissociation of Vibrotactile Frequency Discrimination Performances for Supra-Threshold and Near-Threshold Vibrations. Search on Bibsonomy EuroHaptics (2) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
22Thomas Erneux, Thomas W. Carr, Victoria Booth Near-Threshold Bursting Is Delayed by a Slow Passage near a Limit Point. Search on Bibsonomy SIAM J. Appl. Math. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Chuxiong Lin, Weifeng He, Yanan Sun 0003, Lin Shao, Bo Zhang, Jun Yang 0006, Mingoo Seok A Metastability Risk Prediction and Mitigation Technique for Clock-Domain Crossing With Single-Stage Synchronizer in Near-Threshold-Voltage Multivoltage/ Frequency-Domain Network-on-Chip. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Jieyu Li, Weifeng He, Bo Zhang, Guanghui He, Jing Jin 0005, Jun Yang 0006, Mingoo Seok TICA: Timing Slack Inference and Clock Frequency Adaption Technique for a Deeply Pipelined Near-Threshold-Voltage Bitcoin Mining Core. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Haripriya R. S, Soumitro Vyapari, Jaynarayan T. Tudu Near-Threshold-at-Gate based Test for Stuck-on Fault in Scan-chain Testing. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
15Bing-Chen Wu, Wei-Ting Chen, Tsung-Te Liu An Error-Resilient RISC-V Microprocessor With a Fully Integrated DC-DC Voltage Regulator for Near-Threshold Operation in 28-nm CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yang Wei Lim, Noor Ain Kamsani, Roslina Mohd Sidek, Shaiful Jahari Hashim, Fakhrul Zaman Rokhani Energy-Performance Optimization via P/N Ratio Sizing With Full Diffusion Layout Structure and Standard Cell Height Tuning in Near-Threshold Voltage Operation. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Erfan Abbasian, Bahare Grailoo, Mahdieh Nayeri Design of a 10-nm FinFET 11 T Near-Threshold SRAM Cell for Low-Energy Internet-of-Things Applications. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Erfan Abbasian, Sobhan Sofimowloodi Energy-Efficient Single-Ended Read/Write 10T Near-Threshold SRAM. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Shan Shen, Peng Cao 0002, Ming Ling, Longxing Shi A Timing Yield Model for SRAM Cells at Sub/Near-Threshold Voltages Based on a Compact Drain Current Model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Yang Feng, Bing Chen, Mingfeng Tang, Yuerang Qi, Maoying Bai, Chengcheng Wang, Hai Wang, Xuepeng Zhan, Junyu Zhang, Jing Liu, Jixuan Wu, Jiezhi Chen Near-threshold-voltage operation in flash-based high-precision computing-in-memory to implement Poisson image editing. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Run-Ze Yu, Zhen-Hao Li, Xi Deng, Zheng-Lin Liu Negative Design Margin Realization through Deep Path Activity Detection Combined with Dynamic Voltage Scaling in a 55 nm Near-Threshold 32-Bit Microcontroller. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Shounak Chakraborty 0001, Mehdi Safarpour, Olli Silvén NTHPC: Embracing Near-Threshold Operation for High Performance Multi-core Systems. Search on Bibsonomy SAMOS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Jiwon Shin, Joonghyun Song, Jihee Kim, Woo-Seok Choi A Near-Threshold Ring-Oscillator-Based ILCM with Edge-Selective Error Detector Achieving -64 dBc Reference-Spur and -239 dB FoM. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Lin Shao, Mingche Lai, Shi Xu, Chuxiong Lin, Weifeng He A Metastability Inference and Avoidance Technique for Near-Threshold-Voltage Network-on-Chip. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
15Roel Uytterhoeven, Wim Dehaene Design Margin Reduction Through Completion Detection in a 28-nm Near-Threshold DSP Processor. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Erfan Abbasian A Highly Stable Low-Energy 10T SRAM for Near-Threshold Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Keonhee Cho, Juhyun Park, Ki-Ryong Kim, Tae Woo Oh, Seong-Ook Jung SRAM Write Assist Circuit Using Cell Supply Voltage Self-Collapse With Bitline Charge Sharing for Near-Threshold Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Jeongwoo Heo, Kwangok Jeong, Jungyun Choi, Taewhan Kim, Kyumyung Choi Hardware Performance Monitoring Methodology at Near-Threshold Computing and Advanced Technology Nodes: From Design to Postsilicon. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Damyanti Singh, Kirti Gupta, Neeta Pandey A novel read decoupled 8T1M nvSRAM cell for near threshold operation. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Paresh Baidya, Swagata Mandal, Rourab Paul Near Threshold Computation of Partitioned Ring Learning With Error (RLWE) Post Quantum Cryptography on Reconfigurable Architecture. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Shan Shen, Peng Cao 0002, Ming Ling, Longxing Shi A Timing Yield Model for SRAM Cells in Sub/Near-threshold Voltages Based on A Compact Drain Current Model. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
15Anuradha Chathuranga Ranasinghe Ultra-Low-Voltage Flip-Flops and Near-Threshold Modeling. Search on Bibsonomy 2022   DOI  RDF
15Francisco Veirano, Pablo Perez-Nicoli, Nicolás Gammarano, German Fierro, Fernando Silveira Near threshold pulse transit time processor for central blood pressure estimation. Search on Bibsonomy LASCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Chuxiong Lin, Weifeng He, Yannan Sun, Lin Shao, Bo Zhang, Jun Yang 0006, Mingoo Seok MPAM: Reliable, Low-Latency, Near-Threshold-Voltage Multi-Voltage/Frequency-Domain Network-on-Chip with Metastability Risk Prediction and Mitigation. Search on Bibsonomy CICC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Arthur Beckers, Roel Uytterhoeven, Thomas Vandenabeele, Jo Vliegen, Lennert Wouters, Joan Daemen, Wim Dehaene, Benedikt Gierlichs, Nele Mentens Energy and side-channel security evaluation of near-threshold cryptographic circuits in 28nm FD-SOI technology. Search on Bibsonomy CF The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Hyunchul Park, Jongsun Park 0001 Bit-Line Decoupled SRAM for Reducing Read Delays in Near Threshold Voltage Operations. Search on Bibsonomy ISOCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Hanwool Jeong, Tae Hyun Kim, Changnam Park, Hoonki Kim, Taejoong Song, Seong-Ook Jung A Wide-Range Static Current-Free Current Mirror-Based LS With Logic Error Detection for Near-Threshold Operation. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Chuxiong Lin, Weifeng He, Yanan Sun 0003, Bingxi Pei, Pavan Kumar Chundi, Zhigang Mao, Mingoo Seok MEDAC: A Metastability Condition Detection and Correction Technique for a Near-Threshold-Voltage Multi-Voltage-/Frequency-Domain Network-on-Chip. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Ji Sang Oh, Juhyun Park, Keonhee Cho, Tae Woo Oh, Seong-Ook Jung Differential Read/Write 7T SRAM With Bit-Interleaved Structure for Near-Threshold Operation. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Ming Ling, Qingde Lin, Ke Tan 0004, Tianxiang Shao, Shan Shen, Jun Yang 0006 A Design of Timing Speculation SRAM-Based L1 Caches With PVT Autotracking Under Near-Threshold Voltages. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Noel Daniel Gundi, Tahmoures Shabanian, Prabal Basu, Pramesh Pandey, Sanghamitra Roy, Koushik Chakraborty EFFORT: A Comprehensive Technique to Tackle Timing Violations and Improve Energy Efficiency of Near-Threshold Tensor Processing Units. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Lalit Mohan Dani, Neeraj Mishra, Anand Bulusu An Efficient and Accurate Variation-Aware Design Methodology for Near-Threshold MOS-Varactor-Based VCO Architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Bojun Hu, Sanfeng Zhang, Xiangxin Pan, Xiangyu Zhao, Zhaoming Ding, Xiong Zhou, Shiheng Yang, Qiang Li 0021 Sampling and Comparator Speed-Enhancement Techniques for Near-Threshold SAR ADCs. Search on Bibsonomy IEEE Open J. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15José L. Núñez-Yáñez, Neil J. Howard Energy-efficient neural networks with near-threshold processors and hardware accelerators. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Haiyang Jiang 0014, Bingqian Xu, Peng Cao 0002, Hao Cai Analytical Delay Model in Near-Threshold Domain Considering Transition Time. Search on Bibsonomy ICTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Bojun Hu, Sanfeng Zhang, Xiong Zhou, Xiangxin Pan, Zhaoming Ding, Qiang Li 0021 A Sampling Speed Enhancement Technique for Near-Threshold SAR ADCs. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Hao Zhang, Jieyu Li, Weifeng He, Yanan Sun 0003, Mingoo Seok An Ultra-Low Leakage Bitcell Structure with the Feedforward Self-Suppression Scheme for Near-Threshold SRAM. Search on Bibsonomy ISCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Hyunchul Park, Jongsun Park 0001 Local Bit-line Charge-sharing based Pre-charging SRAM for Near Threshold Voltage Operation. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Lih-Yih Chiou, Jing-Yu Huang, Chi-Kuan Li, Chen-Chung Tsai A Reliable Near-Threshold Voltage SRAM-Based PUF Utilizing Weight Detection Technique. Search on Bibsonomy VLSI-DAT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Joao Pedro Cerqueira, Thomas J. Repetti, Yu Pu, Shivam Priyadarshi, Martha A. Kim, Mingoo Seok Catena: A Near-Threshold, Sub-0.4-mW, 16-Core Programmable Spatial Array Accelerator for the Ultralow-Power Mobile and Embedded Internet of Things. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jing Wang 0055, Wei-wei Liang, Yuehua Niu, Lan Gao, Weigong Zhang Multi-dimensional optimization for approximate near-threshold computing. Search on Bibsonomy Frontiers Inf. Technol. Electron. Eng. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Taehwan Kim 0007, Kwangok Jeong, Jungyun Choi, Taewhan Kim, Kyu-Myung Choi SRAM on-chip monitoring methodology for high yield and energy efficient memory operation at near threshold voltage. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yongmin Lee, Gicheol Shin, Yoonmyung Lee A Fully Static True-Single-Phase-Clocked Dual-Edge-Triggered Flip-Flop for Near-Threshold Voltage Operation in IoT Applications. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Joonho Kong, Jae Young Hur Near-Threshold L1 Data Cache for Yield Management Under Process Variations. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Gwang Hui Choi, Taehui Na Novel MTJ-Based Sensing Inverter Variation Tolerant Nonvolatile Flip-Flop in the Near-Threshold Voltage Region. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sourav Sanyal, Prabal Basu, Aatreyi Bal, Sanghamitra Roy, Koushik Chakraborty Exploring Warp Criticality in Near-Threshold GPGPU Applications Using a Dynamic Choke Point Analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Mohammad Saber Golanbari, Saman Kiamehr, Fabian Oboril, Anteneh Gebregiorgis, Mehdi Baradaran Tahoori Achieving Energy Efficiency for Near-Threshold Circuits Through Postfabrication Calibration and Adaptation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Juhyun Park, Tae Woo Oh, Seong-Ook Jung pMOS Pass Gate Local Bitline SRAM Architecture With Virtual $V_{\mathrm{SS}}$ for Near-Threshold Operation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Pramesh Pandey, Prabal Basu, Koushik Chakraborty, Sanghamitra Roy GreenTPU: Predictive Design Paradigm for Improving Timing Error Resilience of a Near-Threshold Tensor Processing Unit. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Prabal Basu, Pramesh Pandey, Aatreyi Bal, Chidhambaranathan Rajamanikkam, Koushik Chakraborty, Sanghamitra Roy TITAN: Uncovering the Paradigm Shift in Security Vulnerability at Near-Threshold Computing. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jaehun Jun, Sangsu Lee, Chulwoo Kim Near threshold voltage digital PLL using low voltage optimised blocks for AR display system. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Michael A. Turi, José G. Delgado-Frias Effective Low Leakage 6T and 8T FinFET SRAMs: Using Cells With Reverse-Biased FinFETs, Near-Threshold Operation, and Power Gating. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Md Shazzad Hossain, Ioannis Savidis Dynamic differential signaling based logic families for robust ultra-low power near-threshold computing. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Yoshihide Komatsu, Akinori Shinmyo, Mayuko Fujita, Tsuyoshi Hiraki, Kouichi Fukuda, Noriyuki Miura, Makoto Nagata A 0.6-V Adaptive Voltage Swing Serial Link Transmitter Using Near Threshold Body Bias Control and Jitter Estimation. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Keonhee Cho, Juhyun Park, Tae Woo Oh, Seong-Ook Jung One-Sided Schmitt-Trigger-Based 9T SRAM Cell for Near-Threshold Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Fundam. Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jaegeun Song, Jaehun Jun, Chulwoo Kim A 0.5 V 10-bit 3 MS/s SAR ADC With Adaptive-Reset Switching Scheme and Near-Threshold Voltage-Optimized Design Technique. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Bai Noi Nguyen, Nghia Tang, Wookpyo Hong, Zhiyuan Zhou, Deukhyoun Heo Clock-Voltage Co-Regulator With Adaptive Power Budget Tracking for Robust Near-Threshold-Voltage Sequential Logic Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15AbdelRahman Hesham, Amin M. Nassar, Hassan Mostafa Energy-Efficient Near-Threshold Standard Cell Library for IoT Applications. Search on Bibsonomy NILES The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Noel Daniel Gundi, Tahmoures Shabanian, Prabal Basu, Pramesh Pandey, Sanghamitra Roy, Koushik Chakraborty, Zhen Zhang 0006 EFFORT: Enhancing Energy Efficiency and Error Resilience of a Near-Threshold Tensor Processing Unit. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jeongwoo Heo, Kwangok Jeong, Taewhan Kim, Kyu-Myung Choi Synthesis of Hardware Performance Monitoring and Prediction Flow Adapting to Near-Threshold Computing and Advanced Process Nodes. Search on Bibsonomy ASP-DAC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Rodrigo N. Wuerdig, Vitor G. Lima, Filipe D. Baumgratz, Rafael Soares, Sergio Bampi Evaluating Cell Library Sizing Methodologies for Ultra-Low Power Near-Threshold Operation in Bulk CMOS. Search on Bibsonomy LASCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Ronald Cramer, Chaoping Xing Blackbox Secret Sharing Revisited: A Coding-Theoretic Approach with Application to Expansionless Near-Threshold Schemes. Search on Bibsonomy EUROCRYPT (1) The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Markus Hiienkari, Navneet Gupta, Jukka Teittinen, Jesse Simonsson, Matthew J. Turnquist, Jonas Eriksson, Risto Anttila, Ohto Myllynen, Hannu Rämäkkö, Sofia Mäkikyrö, Lauri Koskinen A 0.4-0.9V, 2.87pJ/cycle Near-Threshold ARM Cortex-M3 CPU with In-Situ Monitoring and Adaptive-Logic Scan. Search on Bibsonomy COOL CHIPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Chuxiong Lin, Weifeng He, Yanan Sun 0003, Bingxi Pei, Zhigang Mao, Mingoo Seok 25.8 A Near- Threshold-Voltage Network-on-Chip with a Metastability Error Detection and Correction Technique for Supporting a Quad-Voltage/Frequency-Domain Ultra-Low-Power System-on-a-Chip. Search on Bibsonomy ISSCC The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Sofia Mäkikyrö, Samuli Tuoriniemi, Risto Anttila, Lauri Koskinen Execution Frequency and Energy Optimization for DVFS-enabled, Near-threshold Processors. Search on Bibsonomy ACIT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Xuemei Fan, Hongwei Li, Qiang Li, Rujin Wang, Hao Liu 0013, Shengli Lu A Light-Weight Timing Resilient Scheme for Near-Threshold Efficient Digital ICs. Search on Bibsonomy APCCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Area-efficient fully digital memory using minimum height standard cells for near-threshold voltage computing. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Chaudhry Indra Kumar, Ishant Bhatia, Arvind Kumar Sharma, Deep Sehgal, H. S. Jatana, Anand Bulusu A Physics-Based Variability-Aware Methodology to Estimate Critical Charge for Near-Threshold Voltage Latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Seongjong Kim, Joao Pedro Cerqueira, Mingoo Seok A Near-Threshold Spiking Neural Network Accelerator With a Body-Swapping-Based In Situ Error Detection and Correction Technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hongjie Xu, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera On-Chip Cache Architecture Exploiting Hybrid Memory Structures for Near-Threshold Computing. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yang Wei Lim, Noor Ain Kamsani, Roslina Mohd Sidek, Shaiful Jahari Hashim, Fakhrul Zaman Rokhani Six-track multi-finger standard cell library design for near-threshold voltage operation in 130 nm complementary metal oxide semiconductor technology. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Ali Hajian, Saeed Safari Modeling Soft Error Propagation in Near-Threshold Combinational Circuits Using Neural Networks. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Lianxi Liu, Cheng Chen, Xufeng Liao, Wenbin Huang 0001, Junchao Mu, Zhangming Zhu A low cross-regulation and high-efficiency SIDO boost converter with near-threshold start-up. Search on Bibsonomy Microelectron. J. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Chidhambaranathan Rajamanikkam, Rajesh J. S., Koushik Chakraborty, Sanghamitra Roy Energy Efficient Network-on-Chip Architectures for Many-Core Near-Threshold Computing System. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Ronald Cramer, Chaoping Xing Blackbox Secret Sharing Revisited: A Coding-Theoretic Approach with Application to Expansionless Near-Threshold Schemes. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2019 DBLP  BibTeX  RDF
15Shourya Gupta, Kirti Gupta, Benton H. Calhoun, Neeta Pandey Low-Power Near-Threshold 10T SRAM Bit Cells With Enhanced Data-Independent Read Port Leakage for Array Augmentation in 32-nm CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Byungkyu Song, Sara Choi, Seung-Hyuk Kang, Seong-Ook Jung Offset-Cancellation Sensing-Circuit-Based Nonvolatile Flip-Flop Operating in Near-Threshold Voltage Region. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Jie Miao, Houpeng Chen, Yu Lei 0003, Yi Lv, Weili Liu, Zhitang Song Near-threshold SIDO DC-DC converter with a high-precision ZCD for phase change memory chip. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Taehwan Kim 0007, Kwangok Jeong, Taewhan Kim, Kyu-Myung Choi SRAM On-Chip Monitoring Methodology for Energy Efficient Memory Operation at Near Threshold Voltage. Search on Bibsonomy ISVLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15A. K. M. Mahfuzul Islam, Ryota Shimizu, Hidetoshi Onodera Analysis of Random Telegraph Noise (RTN) at Near-Threshold Operation by Measuring 154k Ring Oscillators. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Sourav Sanyal, Prabal Basu, Aatreyi Bal, Sanghamitra Roy, Koushik Chakraborty Predicting Critical Warps in Near-Threshold GPGPU Applications using a Dynamic Choke Point Analysis. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Sami Salamin, Hussam Amrouch, Jörg Henkel Selecting the Optimal Energy Point in Near-Threshold Computing. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Fabio G. Rossato G. da Silva, Cristina Meinhardt, Ricardo Augusto da Luz Reis FinFET Variability and Near-threshold operation: Impact on Full Adders design using XOR Blocks. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Peng Cao 0002, Zhiyuan Liu 0011, Bingqian Xu, Jingjing Guo A Statistical Timing Model for CMOS Inverter in Near-threshold Region Considering Input Transition Time. Search on Bibsonomy ICECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yiran Sun, Ju Zhou, Shiying Zhang, Xuexiang Wang Buffer Sizing for Near-Threshold Clock Tree using Improved Genetic Algorithm. Search on Bibsonomy ASICON The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 345 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license