The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase scan-chain (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1991-1995 (16) 1996-1998 (24) 1999-2001 (25) 2002 (25) 2003 (39) 2004 (31) 2005 (33) 2006 (32) 2007 (28) 2008 (35) 2009 (16) 2010-2011 (25) 2012-2013 (22) 2014-2015 (16) 2016-2017 (25) 2018-2019 (23) 2020-2021 (18) 2022-2024 (15)
Publication types (Num. hits)
article(130) inproceedings(318)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 284 occurrences of 146 keywords

Results
Found 448 publication records. Showing 448 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
154Yu Huang 0005, Ruifeng Guo, Wu-Tung Cheng, James Chien-Mo Li Survey of Scan Chain Diagnosis. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
116Douglas Chang, Kwang-Ting Cheng, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee Functional Scan Chain Testing. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF functional scan chain, alternating sequence, scan chain testing, design for testability, test point insertion
106Xiaoxia Wu, Paul Falkenstern, Krishnendu Chakrabarty, Yuan Xie 0001 Scan-chain design and optimization for three-dimensional integrated circuits. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scan-chain design, genetic algorithm, integer linear programming, randomized rounding, LP relaxation, 3D ICs
104Kwang-Ting Cheng Partial scan designs without using a separate scan clock. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop selection method, flip-flop test generation method, scan registers ordering, scan-shifting concept, test vector compaction, delay fault detection, cycle breaking, logic testing, delays, timing, design for testability, logic design, automatic testing, DFT, fault coverage, flip-flops, circuit optimisation, boundary scan testing, scan chain, combinatorial optimization problem, test generation algorithm, partial scan designs, system clock
103Wei Li 0023, Seongmoon Wang, Srimat T. Chakradhar, Sudhakar M. Reddy Distance Restricted Scan Chain Reordering to Enhance Delay Fault Coverage. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
101Abhijit Jas, Bahram Pouya, Nur A. Touba Test data compression technique for embedded cores using virtual scan chains. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
99Nilabha Dev, Sandeep Bhatia, Subhasish Mukherjee, Sue Genova, Vinayak Kadam A Partitioning Based Physical Scan Chain Allocation Algorithm that Minimizes Voltage Domain Crossings. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
98Xiaoxia Wu, Paul Falkenstern, Yuan Xie 0001 Scan chain design for three-dimensional integrated circuits (3D ICs). Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
97Geewhun Seok, Il-soo Lee, Tony Ambler, Baxter F. Womack An Efficient Scan Chain Partitioning Scheme with Reduction of Test Data under Routing Constraint. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
95Ozgur Sinanoglu, Alex Orailoglu Modeling Scan Chain Modifications For Scan-in Test Power Minimization. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
94Kuen-Jong Lee, Tsung-Chu Huang, Jih-Jeen Chen Peak-power reduction for multiple-scan circuits during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF peak-power reduction, multiple scan chain based circuits, peak periodicity, peak width, power waveforms, scan-based circuits, delay buffers, interleaving scan technique, data output, logic testing, logic testing, delays, integrated circuit testing, application specific integrated circuits, SOC, boundary scan testing
94Abhijit Jas, Bahram Pouya, Nur A. Touba Virtual Scan Chains: A Means for Reducing Scan Length in Cores. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compression/Decompression, Virtual Scan, Built-In Self-Test, Mapping, Design-for-Testability, LFSR, System Integrator, Integrated Circuits, Integrated Circuits, Scan Chains, Embedded Cores, Digital Testing, Reseeding
93Sunghoon Chun, Taejin Kim, YongJoon Kim, Sungho Kang 0001 An Efficient Scan Chain Diagnosis Method Using a New Symbolic Simulation. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan chain based test, Diagnosis, Symbolic Simulation
90Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving test effectiveness of scan-based BIST by scan chain partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
81Sying-Jyan Wang, Kuo-Lin Peng, Kuang-Cyun Hsiao, Katherine Shu-Min Li Layout-aware scan chain reorder for launch-off-shift transition test coverage. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan chain ordering, test generation, transition faults, Scan test
80Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving Test Quality of Scan-Based BIST by Scan Chain Partitioning. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
79Robert B. Norwood, Edward J. McCluskey Synthesis-for-scan and scan chain ordering. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis-for-scan procedure, scan chain ordering, testable circuit design, beneficial scan, VLSI, logic testing, integrated circuit testing, design for testability, logic design, sequential circuits, logic synthesis, flip-flops, integrated circuit design, integrated logic circuits, test strategy, boundary scan testing, functional specifications
79Chih-Chang Lin, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee, Kuang-Chien Chen Cost-free scan: a low-overhead scan path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
79Youhua Shi, Shinji Kimura, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Alternative Run-Length Coding through Scan Chain Reconfiguration for Joint Minimization of Test Data Volume and Power Consumption in Scan Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
76Swaroop Ghosh, K. W. Lai, Wen-Ben Jone, Shih-Chieh Chang Scan Chain Fault Identification Using Weight-Based Codes for SoC Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
75Kuen-Jong Lee, Tsung-Chu Huang An Interleaving Technique for Reducing Peak Power in Multiple-Chain Scan Circuits During Test Application. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiple scan chains, interleaving scan, test power reduction, peak power reduction
75Chih-Chang Lin, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Kuang-Chien Chen Cost-free scan: a low-overhead scan path design methodology. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Testing, DFT, Scan design
73Fei Wang, Yu Hu 0001, Huawei Li 0001, Xiaowei Li 0001 A design- for-diagnosis technique for diagnosing both scan chain faults and combinational circuit faults. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
73Hideo Fujiwara, Akihiro Yamamoto Parity-scan design to reduce the cost of test application. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
72Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik Routing-aware scan chain ordering. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF testing, Layout, scan chain
72Irith Pomeranz Reducing test-data volume using P-testable scan chains in circuits with multiple scan chains. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
71Debjyoti Ghosh, Swarup Bhunia, Kaushik Roy 0001 Multiple Scan Chain Design Technique for Power Reduction during Test Application in BIST. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
70Yonsang Cho, Irith Pomeranz, Sudhakar M. Reddy On reducing test application time for scan circuits using limited scan operations and transfer sequences. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
70Hiroyuki Yotsuyanagi, Toshimasa Kuchii, Shigeki Nishikawa, Masaki Hashizume, Kozo Kinoshita Reducing Scan Shifts Using Configurations of Compatible and Folding Scan Trees. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scan tree, logic testing, design for testability, sequential circuit
70Dong Xiang, Kaiwei Li, Hideo Fujiwara Design for Cost Effective Scan Testing by Reconfiguring Scan Flip-Flops. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
70Hiroyuki Yotsuyanagi, Toshimasa Kuchii, Shigeki Nishikawa, Masaki Hashizume, Kozo Kinoshita Reducing Scan Shifts Using Folding Scan Trees. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
69Ozgur Sinanoglu, Ismet Bayraktaroglu, Alex Orailoglu Scan Power Reduction Through Test Data Transition Frequency Analysis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
69Yu Huang 0005, Wu-Tung Cheng, Greg Crowell Using fault model relaxation to diagnose real scan chain defects. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
68Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-aware scan chain synthesis for improved path delay fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
67Chao-Wen Tzeng, Jheng-Syun Yang, Shi-Yu Huang A versatile paradigm for scan chain diagnosis of complex faults using signal processing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF profiling, design for testability, Diagnosis, fault, scan chain
67Ozgur Sinanoglu, Ismet Bayraktaroglu, Alex Orailoglu Reducing Average and Peak Test Power Through Scan Chain Modification. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF test power reduction, scan chain modification, average test power, peak test power, scan testing
67Yuejian Wu Diagnosis of Scan Chain Failures. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF scan chain designs, fault diagnosis, design for testability
67Wei-Lun Wang, Kuen-Jong Lee An Efficient Deterministic Test Pattern Generator for Scan-Based BIST Environment. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mixed-mode pattern generation, built-in self-test, power consumption, test application time, scan chain
66Nan-Cheng Lai, Sying-Jyan Wang, Y.-H. Fu Low-Power BIST With a Smoother and Scan-Chain Reorder Under Optimal Cluster Size. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
66Yu Huang 0005, Wu-Tung Cheng, Cheng-Ju Hsieh, Huan-Yung Tseng, Alou Huang, Yu-Ting Hung Intermittent Scan Chain Fault Diagnosis Based on Signal Probability Analysis. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
65Irith Pomeranz, Sudhakar M. Reddy On the Coverage of Delay Faults in Scan Designs with Multiple Scan Chains. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
62Junichi Hirase, Naoki Shindou, Kouji Akahori Scan Chain Diagnosis Using IDDQ Current Measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
62Ozgur Sinanoglu Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test power reduction, Scan power reduction, Serial transformations, Scan chain modification, Design for testability, Core-based testing
60Ozgur Sinanoglu, Alex Orailoglu Aggressive Test Power Reduction Through Test Stimuli Transformation. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
60Dong Xiang, Mingjing Chen, Hideo Fujiwara Using Weighted Scan Enable Signals to Improve Test Effectiveness of Scan-Based BIST. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Random testability, scan enable signal, weighted random testing, scan-based BIST
60Dong Xiang, Kaiwei Li, Jiaguang Sun, Hideo Fujiwara Reconfigured Scan Forest for Test Application Cost, Test Data Volume, and Test Power Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Scan forest, test application cost, test data volume, test power
60Kuen-Jong Lee, Jih-Jeen Chen, Cheng-Hua Huang Broadcasting test patterns to multiple circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
59Melanie Elm, Hans-Joachim Wunderlich, Michael E. Imhof, Christian G. Zoellin, Jens Leenstra, Nicolas Mäding Scan chain clustering for test power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF test, low power, design for test, scan design
59Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-Aware Scan Chain Synthesis for Improved Path Delay Fault Coverage. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
59Nisar Ahmed, Mohammad Tehranipoor Improving Transition Delay Fault Coverage Using Hybrid Scan-Based Technique. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
58Yu Huang 0005, Wu-Tung Cheng, Sudhakar M. Reddy, Cheng-Ju Hsieh, Yu-Ting Hung Statistical Diagnosis for Intermittent Scan Chain Hold-Time Fault. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
57Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan Architecture for Shift and Capture Cycle Power Reduction. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
57Ozgur Sinanoglu, Alex Orailoglu Test power reductions through computationally efficient, decoupled scan chain modifications. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57Ondrej Novák, Jiri Nosek Test Pattern Decompression Using a Scan Chain. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hardware test pattern generators, BIST, test pattern generation, scan design
57Wei-Lun Wang, Kuen-Jong Lee Accelerated test pattern generators for mixed-mode BIST environments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF accelerated test pattern generators, mixed-mode BIST, pseudorandom patterns, deterministic patterns, scan-based built-in self-test, multiple sub-chains, multiple sequence generator, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, linear feedback shift registers, cost, test pattern generator, shift registers, test application time, scan chain, mixed analogue-digital integrated circuits, clock cycle, integrated circuit economics
56Xiaodong Zhang 0010, Kaushik Roy 0001 Power Reduction in Test-Per-Scan BIST. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test-per-scan, Low Power BIST, Testing, Low Power, BIST, Weighted Random Pattern
55Nahmsuk Oh, Rohit Kapur, Thomas W. Williams, Jim Sproch Test Pattern Compression Using Prelude Vectors in Fan-Out Scan Chain with Feedback Architecture. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Dong Xiang, Mingjing Chen, Jia-Guang Sun Scan BIST with biased scan test signals. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF random testability, test signal, biased random testing, scan-based BIST
54Ondrej Novák, Jiri Nosek Test-per-Clock Testing of the Circuits with Scan. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
54Ho Fai Ko, Nicola Nicolici Automated Scan Chain Division for Reducing Shift and Capture Power During Broadside At-Speed Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Ozgur Sinanoglu Low Cost Scan Test by Test Correlation Utilization. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF test correlation, scan architecture design, test data compression, scan-based testing
53Xiaoding Chen, Michael S. Hsiao An Overlapping Scan Architecture for Reducing Both Test Time and Test Power by Pipelining Fault Detection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Irith Pomeranz, Sudhakar M. Reddy Autoscan-Invert: An Improved Scan Design without External Scan Inputs or Outputs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Yu Huang 0005, Keith Gallie Diagnosis of defects on scan enable and clock trees. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
53Samantha Edirisooriya, Geetani Edirisooriya Diagnosis of scan path failures. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan path failures, scan based diagnostic schemes, faulty circuits, logic circuitry, scan chain fault diagnosis, fault diagnosis, logic testing, integrated circuit testing, design for testability, combinational circuits, integrated logic circuits
52Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Kaushik Roy 0001 Power Reduction in Test-Per-Scan BIST with Supply Gating and Efficient Scan Partitioning. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Irith Pomeranz Reducing Test Data Volume Using Random-Testable and Periodic-Testable Scan Chains in Circuits with Multiple Scan Chains. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Nicola Nicolici, Bashir M. Al-Hashimi Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Digital systems testing, design for test, low power circuits
49Melanie Elm, Hans-Joachim Wunderlich Scan Chain Organization for Embedded Diagnosis. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Ozgur Sinanoglu, Philip Schremmer Diagnosis, modeling and tolerance of scan chain hold-time violations. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
49Puneet Gupta 0001, Andrew B. Kahng, Stefanus Mantik A Proposal for Routing-Based Timing-Driven Scan Chain Ordering. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Seongmoon Wang, Wenlong Wei A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF peak current reduction, average power dissipation, clock tree construction, special scan cells, scan chain reordering, ATPG, scan designs
49James Chien-Mo Li Diagnosis of Multiple Hold-Time and Setup-Time Faults in Scan Chains. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault diagnosis, ATPG, scan chain
48Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power, BIST, scan, pseudo-random, peak power
48Ozgur Sinanoglu, Alex Orailoglu Test Data Manipulation Techniques for Energy-Frugal, Rapid Scan Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
48Nadir Z. Basturkmen, Sudhakar M. Reddy, Irith Pomeranz A Low Power Pseudo-Random BIST Technique. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
48Li-Chung Hsu, Hung-Ming Chen On Optimizing Scan Testing Power and Routing Cost in Scan Chain Design. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Il-soo Lee, Yong Min Hur, Tony Ambler The Efficient Multiple Scan Chain Architecture Reducing Power Dissipation and Test Time. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Liyang Lai, Janak H. Patel, Thomas Rinderknecht, Wu-Tung Cheng Logic BIST with Scan Chain Segmentation. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Erik Larsson, Zebo Peng Test Scheduling and Scan-Chain Division under Power Constraint. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Md. Saffat Quasem, Sandeep K. Gupta 0001 Designing Reconfigurable Multiple Scan Chains for Systems-on-Chip. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Chauchin Su, Shyh-Jye Jou Decentralized BIST Methodology for System Level Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF interconnect, BIST, DFT, boundary scan
47Meng Lu, Yvon Savaria, Bing Qiu 0003, Jacques Taillefer IEEE 1149.1 Based Defect and Fault Tolerant Scan Chain for Wafer Scale Integration. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
45Gang Zeng, Youhua Shi, Toshinori Takabatake, Masao Yanagisawa, Hideo Ito Low-Cost IP Core Test Using Multiple-Mode Loading Scan Chain and Scan Chain Clusters. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Ruifeng Guo, Srikanth Venkataraman An algorithmic technique for diagnosis of faulty scan chains. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Debdeep Mukhopadhyay, Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya CryptoScan: A Secured Scan Chain Architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Joep Aerts, Erik Jan Marinissen Scan chain design for test time reduction in core-based ICs. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
45Yu-Ze Wu, Mango Chia-Tso Chao Scan-Chain Reordering for Minimizing Scan-Shift Power Based on Non-Specified Test Cubes. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF signal transitions, correlation, reordering, scan-chain
44Nisar Ahmed, Mohammad Tehranipoor, C. P. Ravikumar, Kenneth M. Butler Local At-Speed Scan Enable Generation for Transition Fault Testing Using Low-Cost Testers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Jeremy Lee, Mohammad Tehranipoor, Chintan Patel, Jim Plusquellic Securing Designs against Scan-Based Side-Channel Attacks. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Security and Privacy Protection, Scan-Based Design, Secure Design, Reliability and Testing
44Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Chih-Chang Lin, Malgorzata Marek-Sadowska, Kwang-Ting Cheng, Mike Tien-Chien Lee Test-point insertion: scan paths through functional logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Ilia Polian, Bernd Becker 0001 Multiple Scan Chain Design for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan chain insertion, delay testing, design for test, core-based test
44Ilia Polian, Bernd Becker 0001 Multiple Scan Chain Design for Two-Pattern Testing. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Scan chain insertion, Delay testing, Design for test, Core-based test
44Samy Makar, Edward J. McCluskey ATPG for scan chain latches and flip-flops. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bistable element, scan chain circuit, combinational defect detection, algorithm, ATPG, automatic test pattern generation, automatic testing, stuck-at fault, flip-flop, latch, checking experiment
44Nan-Cheng Lai, Sying-Jyan Wang, Yu-Hsuan Fu Low Power BIST with Smoother and Scan-Chain Reorder . Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Yoshinobu Higami, Kozo Kinoshita Design of partially parallel scan chain. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
44Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita Partially Parallel Scan Chain for Test Length Reduction by Using Retiming Technique. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Zaifu Zhang, Robert D. McLeod An Efficient Multiple Scan Chain Testing Scheme. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
43Seongmoon Wang, Srimat T. Chakradhar A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 448 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license