The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase wafer-probe (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1999 (15) 2001-2005 (17) 2006-2017 (11)
Publication types (Num. hits)
article(12) inproceedings(31)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 32 occurrences of 29 keywords

Results
Found 43 publication records. Showing 43 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
157Soumendu Bhattacharya, Abhijit Chatterjee Optimized wafer-probe and assembled package test design for analog circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Assembled package, co-optimization, test cost minimization, test generation and co-optimization, wafer-probe, simulation, test, prototype, analog and mixed-signal test
123Soumendu Bhattacharya, Abhijit Chatterjee High Coverage Analog Wafer-Probe Test Design and Co-optimization with Assembled-Package Test to Minimize Overall Test Cost. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
83Ganesh Srinivasan, Friedrich Taenzler, Abhijit Chatterjee Loopback DFT for Low-Cost Test of Single-VCO-Based Wireless Transceivers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wafer probe test, test yield, loopback test, DFT, RF test, low-cost test
75Thomas S. Barnett, Adit D. Singh, Victor P. Nelson Extending integrated-circuit yield-models to estimate early-life reliability. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
73Sudarshan Bahukudumbi, Krishnendu Chakrabarty Test-Length and TAM Optimization for Wafer-Level Reduced Pin-Count Testing of Core-Based SoCs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
73Sudarshan Bahukudumbi, Krishnendu Chakrabarty Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
59Thomas S. Barnett, Adit D. Singh, Victor P. Nelson Yield-Reliability Modeling for Fault Tolerant Integrated Circuits. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF infant mortality, negative binomial distribution, clustering, reliability, redundancy, yield, defects, defect tolerance, burn-in
58Rajiv Pandey, Dan Higgins probe card-a solution for at-speed, high density, wafer probing. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
50Soumendu Bhattacharya, Abhijit Chatterjee Constrained Specification-Based Test Stimulus Generation for Analog Circuits Using Nonlinear Performance Prediction Models. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Wafer-probe Test, Assembled Package Test, Multivariate Adaptive Regression Splines, Genetic Algorithm
50Karim Arabi, Bozena Kaminska Oscillation-test strategy for analog and mixed-signal integrated circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit oscillations, oscillation test strategy, analog ICs, low-cost test method, oscillation frequency deviation, wafer-probe testing, final production testing, ASIC testing, integrated circuit testing, operational amplifiers, analogue integrated circuits, mixed analogue-digital integrated circuits, production testing, analogue-digital conversion, mixed-signal ICs
45Thomas S. Barnett, Adit D. Singh Relating Yield Models to Burn-In Fall-Out in Time. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Tsung-Che Chiang, Yi-Shiuan Shen, Li-Chen Fu Adaptive Lot/equipment Matching Strategy and GA based Approach for Optimized Dispatching and Scheduling in a Wafer Probe Center. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Chris Sellathamby, Md. Mahbub Reja, Lin Fu, Brenda Bai, Edwin Walter Reid, Steven Slupsky, Igor M. Filanovsky, Kris Iniewski Noncontact wafer probe using wireless probe cards. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Yu-Chun Dawn, Jen-Chieh Yeh, Cheng-Wen Wu, Chia-Ching Wang, Yung-Chen Lin, Chao-Hsun Chen Flash Memory Die Sort by a Sample Classification Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF die sort, test flow, wafer probe, flash memory, memory testing
34Larry Gilg Known Good Die. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF known good die, KGD, chip scale (size) package, multi-chip module (MCM), wafer probe, membrane probe card, buckling beam probe card, KGD carrier, CSP, burn-in
33Thomas S. Barnett, Adit D. Singh, Matt Grady, Kathleen G. Purdy Yield-Reliability Modeling: Experimental Verification and Application to Burn-In Reduction. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Zhihua Wang, Georges G. E. Gielen, Willy M. C. Sansen Probabilistic fault detection and the selection of measurements for analog integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Ron Ross, Keith McCasland Early Detection of Design Sensitivities that Cause Yield Loss for New Products. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26A. M. Majid, David C. Keezer, J. V. Karia A 5 Gbps Wafer-Level Tester. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Paul Okino Test Time Impact of Redundancy Repair in Embedded Flash Memory. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Junhui Li, Hailong Liao, Dasong Ge, Can Zhou, Chengdi Xiao, Qing Tian, Wenhui Zhu An Electromechanical Model and Simulation for Test Process of the Wafer Probe. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Eleonora Franchi Scarselli, Luca Perilli, Luca Perugini, Roberto Canegallo A 40 nm CMOS I/O Pad Design With Embedded Capacitive Coupling Receiver for Non-Contact Wafer Probe Test. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
24Abdelhatif El Fellahi, Kamel Haddadi, Jaouad Marzouk, Steve Arscott, Christophe Boyaval, Tuami Lasri, Gilles Dambrine On-wafer probe station for microwave metrology at the nanoscale. Search on Bibsonomy I2MTC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
24Dragoljub Gagi Drmanac, Nik Sumikawa, LeRoy Winemberg, Li-C. Wang, Magdy S. Abadir Multidimensional parametric test set optimization of wafer probe data for predicting in field failures and setting tighter test limits. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Dragoljub Gagi Drmanac, Michael Laisne Wafer probe test cost reduction of an RF/A device by automatic testset minimization - A case study. Search on Bibsonomy ITC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Chih-Hsiung Wang Determining the optimal probing lot size for the wafer probe operation in semiconductor manufacturing. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24D. S. Liu, M. K. Shih, W. H. Huang Measurement and analysis of contact resistance in wafer probe testing. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24William R. Mann, Frederick L. Taber, Philip W. Seitzer, Jerry J. Broz The Leading Edge of Production Wafer Probe Test Technology. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
24Shun-Yu Lin, Li-Chen Fu, Tsung-Che Chiang, Yi-Shiuan Shen Colored timed petri-net and GA based approach to modeling and scheduling for wafer probe center. Search on Bibsonomy ICRA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Gregory W. Papadeas, David Gauthier An On-Line Data Collection and Analysis System for VLSI Devices at Wafer Probe and Final Test. Search on Bibsonomy ITC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Adit D. Singh, C. Mani Krishna 0001 On Optimizing Wafer-Probe Testing for Product Quality Using Die-Yield Prediction. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
24Ming-Feng Chang, Weiping Shi, W. Kent Fuchs Optimal wafer probe testing and diagnosis of k-out-of-n structures. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
24Norman Nadeau, Sylvie Perreault An Analysis of Tungsten Probes' Effect on Yield in a Production Wafer Probe Environment. Search on Bibsonomy ITC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Kazuhiko Miki, David Boerstler, Eskinder Hailu, Jieming Qi, Sarah Pettengill, Yuichi Goto A new test and characterization scheme for 10+ GHz low jitter wide band PLL. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Minsu Choi, Noh-Jin Park, K. M. George, Byoungjae Jin, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi Fault Tolerant Memory Design for HW/SW Co-Reliability in Massively Parallel Computing Systems. Search on Bibsonomy NCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Built-in-self-repair (BISR), Field Reconfiguration, HW/SW Co-reliability, Reliability Assurance, Reliability, High performance computing, Yield, Massively parallel computing, Fault-tolerant memory, Modular Redundancy
16Thomas S. Barnett, Adit D. Singh, Victor P. Nelson Burn-In Failures and Local Region Yield: An Integrated Yield-Reliability Model. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Zao Yang, Kwang-Ting Cheng, King L. Tai A New Bare Die Test Methodology. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Shashank K. Mehta, Sharad C. Seth Empirical Computation of Reject Ratio in VLSI Testing. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Frederick L. Taber An introduction to area array probing. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Karim Arabi, Bozena Kaminska Testing analog and mixed-signal integrated circuits using oscillation-test method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Georges G. E. Gielen, Zhihua Wang, Willy M. C. Sansen Fault detection and input stimulus determination for the testing of analog integrated circuits based on power-supply current monitoring. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Andrew J. Bishop, André Ivanov On the Testability of CMOS Feedback Amplifiers. Search on Bibsonomy DFT The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Linda S. Milor, V. Visvanathan Detection of catastrophic faults in analog integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #43 of 43 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license