|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5334 occurrences of 2591 keywords
|
|
|
Results
Found 6048 publication records. Showing 6048 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
115 | Toshihide Ibaraki, Tiko Kameda, Naoki Katoh |
Multiversion Cautious Schedulers for Database Concurrency Control. |
IEEE Trans. Software Eng. |
1990 |
DBLP DOI BibTeX RDF |
read/write steps, multiversion cautious scheduler, incoming sequence, read sets, write sets, MWW, MWRW, multiversion classes, write-write, write-read-write constraints, cancellation anomaly, predeclared steps, read operations, scheduling, concurrency control, database management systems, transactions, transaction processing, polynomial time, logs, rollbacks, reordering, MC, database concurrency control |
114 | Farnaz Mounes-Toussi, David J. Lilja |
Write buffer design for cache-coherent shared-memory multiprocessors. |
ICCD |
1995 |
DBLP DOI BibTeX RDF |
write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies |
104 | Sangyeun Cho, Hyunjin Lee |
Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance. |
MICRO |
2009 |
DBLP DOI BibTeX RDF |
memory write performance, phase-change memory |
88 | Soontae Kim, Jongmin Lee 0002 |
Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system. |
ACM Great Lakes Symposium on VLSI |
2010 |
DBLP DOI BibTeX RDF |
low power, data cache, write buffer |
78 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation |
ACM Trans. Comput. Syst. |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
76 | Scott D. Carson, Sanjeev Setia |
Analysis of the Periodic Update Write Policy For Disk Cache. |
IEEE Trans. Software Eng. |
1992 |
DBLP DOI BibTeX RDF |
periodic update write policy, average access time, dirty cache blocks, disk read requests, cache-hit ratio, competing cache write policies, bulk arrivals, traffic jam effect, degraded service, write packages, scheduling, file systems, storage management, buffer storage, storage allocation, disk scheduling, data storage, computer systems, disk cache, design criteria, average response time |
72 | Sandeep S. Kulkarni, Umamaheswaran Arumugam |
Transformations for Write-All-with-Collision Model. |
OPODIS |
2003 |
DBLP DOI BibTeX RDF |
Model conversions, Preserving stabilization, Atomicity refinement, Write-all-with-collision model, Read/Write model |
72 | Cristiana Amza, Alan L. Cox, Sandhya Dwarkadas, Willy Zwaenepoel |
Software DSM Protocols that Adapt between Single Writer and Multiple Writer. |
HPCA |
1997 |
DBLP DOI BibTeX RDF |
|
71 | Bo Li 0009, Panyong Zhang, Zhigang Huo, Dan Meng |
Early Experiences with Write-Write Design of NFS over RDMA. |
NAS |
2009 |
DBLP DOI BibTeX RDF |
|
70 | Bogdan S. Chlebus, Dariusz R. Kowalski |
Cooperative asynchronous update of shared memory. |
STOC |
2005 |
DBLP DOI BibTeX RDF |
problem Write-All, read and write register, work efficiency, distributed algorithm, expander, asynchrony, disperser |
64 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. |
ISQED |
2009 |
DBLP DOI BibTeX RDF |
|
64 | Karen Zee, Martin C. Rinard |
Write barrier removal by static analysis. |
OOPSLA |
2002 |
DBLP DOI BibTeX RDF |
program analysis, pointer analysis, write barriers, generational garbage collection |
60 | Toshihide Ibaraki, Tiko Kameda, Naoki Katoh |
Cautious Transaction Schedulers for Database Concurrency Control. |
IEEE Trans. Software Eng. |
1988 |
DBLP DOI BibTeX RDF |
cautious schedulers, WW, write-write constraints, WRW, WW-scheduler, polynomial-time scheduling algorithm, read sets, write sets, scheduling, computational complexity, computational complexity, distributed databases, distributed databases, NP-complete, database theory, transaction schedulers, database concurrency control |
60 | Prabuddha Biswas, K. K. Ramakrishnan |
Trace Driven Analysis of Write Caching Policies for Disks. |
SIGMETRICS |
1993 |
DBLP DOI BibTeX RDF |
|
59 | Xiao-Yu Hu, Evangelos Eleftheriou, Robert Haas 0001, Ilias Iliadis, Roman A. Pletka |
Write amplification analysis in flash-based solid state drives. |
SYSTOR |
2009 |
DBLP DOI BibTeX RDF |
solid state storage systems, write amplification, flash memory, solid state drives |
59 | Lu Jun, Xianliang Lu, Han Hong, Qingsong Wei |
A cooperative asynchronous write mechanism for NAS. |
ACM SIGOPS Oper. Syst. Rev. |
2002 |
DBLP DOI BibTeX RDF |
performance, cache, cooperation, asynchronous, write, NAS |
58 | Kjetil Nørvåg, Kjell Bratbergsengen |
Write Optimized Object-Oriented Database Systems. |
SCCC |
1997 |
DBLP DOI BibTeX RDF |
write optimized object-oriented database systems, disk write operations, Vagabond, supercomputing applications, data structures, data structures, geographical information systems |
56 | Jun Wang 0001, Yiming Hu |
A Novel Reordering Write Buffer to Improve Write Performance of Log-Structured File Systems. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
write performance, file systems, storage systems, Log-structured File Systems |
55 | Yiming Hu, Tycho Nightingale, Qing Yang 0001 |
RAPID-Cache-A Reliable and Inexpensive Write Cache for High Performance Storage Systems. |
IEEE Trans. Parallel Distributed Syst. |
2002 |
DBLP DOI BibTeX RDF |
fault-tolerance, performance, reliability, storage systems, disks |
55 | Julio Sahuquillo, Ana Pont |
Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache. |
EUROMICRO |
1998 |
DBLP DOI BibTeX RDF |
|
55 | Kevin Skadron, Douglas W. Clark |
Design Issues and Tradeoffs for Write Buffers. |
HPCA |
1997 |
DBLP DOI BibTeX RDF |
|
54 | Dushyanth Narayanan, Austin Donnelly, Antony I. T. Rowstron |
Write off-loading: Practical power management for enterprise storage. |
ACM Trans. Storage |
2008 |
DBLP DOI BibTeX RDF |
DiskEnergy, disk spin-down, enterprise storage, write off-loading, power, energy |
54 | Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin |
An SRAM Design-for-Diagnosis Solution Based on Write Driver Voltage Sensing. |
VTS |
2008 |
DBLP DOI BibTeX RDF |
write driver, design-for-diagnosis, diagnosis, SRAM |
54 | Lisa Higham, LillAnne Jackson, Jalal Kawash |
Specifying memory consistency of write buffer multiprocessors. |
ACM Trans. Comput. Syst. |
2007 |
DBLP DOI BibTeX RDF |
Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha |
54 | Afzal Malik, Bill Moyer, Roger Zhou |
Embedded cache architecture with programmable write buffer support for power and performance flexibility. |
CASES |
2002 |
DBLP DOI BibTeX RDF |
cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer |
53 | Jeffrey Stuecheli, Dimitris Kaseridis, David Daly, Hillery C. Hunter, Lizy K. John |
The virtual write queue: coordinating DRAM and last-level cache policies. |
ISCA |
2010 |
DBLP DOI BibTeX RDF |
cmp many-core, ddr ddr2 ddr3, dram-parameters, memory-scheduling writeback, page-mode, write-queue, write-scheduling, dram, cache-replacement, last-level-cache |
52 | Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian |
Un-Restored Destructive Write Faults Due to Resistive-Open Defects in the Write Driver of SRAMs. |
VTS |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Weiwu Hu, Weisong Shi, Zhimin Tang |
Write Detection in Home-Based Software DSMs. |
Euro-Par |
1999 |
DBLP DOI BibTeX RDF |
|
49 | Da Zhou, Xiaofeng Meng 0001 |
RS-Wrapper: random write optimization for solid state drive. |
CIKM |
2009 |
DBLP DOI BibTeX RDF |
random write, database, parallelism, flash memory |
49 | Martin T. Vechev, David F. Bacon |
Write barrier elision for concurrent garbage collectors. |
ISMM |
2004 |
DBLP DOI BibTeX RDF |
write barrier, concurrent garbage collection |
49 | Bogdan S. Chlebus, Stefan Dobrev, Dariusz R. Kowalski, Grzegorz Malewicz, Alexander A. Shvartsman, Imrich Vrto |
Towards practical deteministic write-all algorithms. |
SPAA |
2001 |
DBLP DOI BibTeX RDF |
Write-All, contention of permutations, scheduling, parallel algorithms, work |
47 | Wei-keng Liao, Avery Ching, Kenin Coloma, Alok N. Choudhary, Mahmut T. Kandemir |
Improving MPI Independent Write Performance Using A Two-Stage Write-Behind Buffering Method. |
IPDPS |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Hagit Attiya, Faith Ellen Fich, Yaniv Kaplan |
Lower bounds for adaptive collect and related objects. |
PODC |
2004 |
DBLP DOI BibTeX RDF |
exclusive-write registers, sensitive objects, solo termination, weak test&set, adaptivity, contention, collect |
46 | Wen-Tzeng Huang, C. T. Chen, Chin-Hsing Chen, C. C. Cheng |
Energy-Efficient Buffer Architecture for Flash Memory. |
MUE |
2008 |
DBLP DOI BibTeX RDF |
FAB, Grey decision, read/write buffer architecture, optimal-read only-write buffer architecture, flash memory, LRU |
46 | Brajendra Panda, Kazi Asharful Haque |
Extended data dependency approach: a robust way of rebuilding database. |
SAC |
2002 |
DBLP DOI BibTeX RDF |
actual-read/write, clean-write, overlooked-read/write, predicate-read, data dependency |
45 | Yiming Hu, Qing Yang 0001, Tycho Nightingale |
RAPID-Cache - A Reliable and Inexpensive Write Cache for Disk I/O Systems. |
HPCA |
1999 |
DBLP DOI BibTeX RDF |
|
45 | Weiwu Hu, Weisong Shi, Zhimin Tang |
Adaptive Write Detection in Home-based Software DSMs. |
HPDC |
1999 |
DBLP DOI BibTeX RDF |
|
45 | Soma Chaudhuri, Martha J. Kosa, Jennifer L. Welch |
Upper and lower bounds for one-write multivalued regular registers. |
SPDP |
1991 |
DBLP DOI BibTeX RDF |
|
44 | Kimish Patel, Wonbok Lee, Massoud Pedram |
Minimizing power dissipation during write operation to register files. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
write operation, power, register file |
44 | Wei-keng Liao, Kenin Coloma, Alok N. Choudhary, Lee Ward |
Cooperative Write-Behind Data Buffering for MPI I/O. |
PVM/MPI |
2005 |
DBLP DOI BibTeX RDF |
Write behind, file consistency, data buffering, I/O thread, MPI I/O |
44 | Nam Sung Kim, Trevor N. Mudge |
Reducing register ports using delayed write-back queues and operand pre-fetch. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
44 | Karen Zee, Martin C. Rinard |
Write barrier removal by static analysis. |
ACM SIGPLAN Notices |
2002 |
DBLP DOI BibTeX RDF |
program analysis, pointer analysis, write barriers, generational garbage collection |
44 | Timothy P. Mann, Andrew Birrell, Andy Hisgen, Charles Jerian, Garret Swart |
A Coherent Distributed File Cache with Directory Write-Behind. |
ACM Trans. Comput. Syst. |
1994 |
DBLP DOI BibTeX RDF |
write-behind, coherence, file caching |
43 | Prasad Jayanti |
A Complete and Constant Time Wait-Free Implementation of CAS from LL/SC and Vice Versa. |
DISC |
1998 |
DBLP DOI BibTeX RDF |
|
42 | Keejong Kim, Hamid Mahmoodi, Kaushik Roy 0001 |
A low-power SRAM using bit-line charge-recycling technique. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
write margin, write power, low power, process variation, SRAM, charge-recycling |
41 | Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu |
Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. |
IEEE Trans. Computers |
1989 |
DBLP DOI BibTeX RDF |
packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse |
40 | Wei Xu 0021, Jibang Liu, Tong Zhang 0002 |
Data manipulation techniques to reduce phase change memory write energy. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low power, phase change memory |
40 | Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin |
A Design-for-Diagnosis Technique for SRAM Write Drivers. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Zhikun Wang, Dan Feng 0001, Ke Zhou 0001, Fang Wang 0001 |
PCOW: Pipelining-Based COW Snapshot Method to Decrease First Write Penalty. |
GPC |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian |
Slow write driver faults in 65nm SRAM technology: analysis and March test solution. |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Yuui Shimizu, Hisanori Aikawa, Keiji Hosotani, Naoharu Shimomura, Tadashi Kai, Yoshihiro Ueda, Yoshiaki Asao, Yoshihisa Iwata, Kenji Tsuchida, Sumio Ikegawa |
MRAM Write Error Categorization with QCKB. |
MTDT |
2006 |
DBLP DOI BibTeX RDF |
|
40 | Seung-Ho Lim, Sung Hoon Baek, Joo Young Hwang, Kyu Ho Park |
Write Back Routine for JFFS2 Efficient I/O. |
EUC |
2006 |
DBLP DOI BibTeX RDF |
|
40 | André Seznec, Eric Toullec, Olivier Rochecouste |
Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors. |
MICRO |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Youngjae Lee, Jin-Soo Kim 0001, Seungryoul Maeng |
ReSSD: a software layer for resuscitating SSDs from poor small random write performance. |
SAC |
2010 |
DBLP DOI BibTeX RDF |
small random write, NAND flash memory, solid state drive |
40 | Damien Imbs, Michel Raynal |
Help When Needed, But No More: Efficient Read/Write Partial Snapshot. |
DISC |
2009 |
DBLP DOI BibTeX RDF |
LL/SC atomic registers, Partial snapshot, Read/Write atomic register, Concurrency, Locality, Efficiency, Atomicity, Adaptive algorithm, Asynchrony, Process crash, Linearizability, Wait-free algorithm, Asynchronous shared memory system |
40 | Vineet Chadha, Renato J. O. Figueiredo |
ROW-FS: A User-Level Virtualized Redirect-on-Write Distributed File System for Wide Area Applications. |
HiPC |
2007 |
DBLP DOI BibTeX RDF |
Redirect-on-write, Grid Computing, Distributed Computing, Virtual Machine, Virtualization, File System |
40 | Stephen M. Blackburn, Kathryn S. McKinley |
In or out?: putting write barriers in their place. |
MSP/ISMM |
2002 |
DBLP DOI BibTeX RDF |
copying collection, generational collection, Java, write barriers |
38 | Mohamed Faouzi Atig, Ahmed Bouajjani, Sebastian Burckhardt, Madanlal Musuvathi |
On the verification problem for weak memory models. |
POPL |
2010 |
DBLP DOI BibTeX RDF |
lossy channel systems, program verification, infinite state systems, relaxed memory models |
38 | James Aspnes, Hagit Attiya, Keren Censor |
Max registers, counters, and monotone circuits. |
PODC |
2009 |
DBLP DOI BibTeX RDF |
max registers, distributed computing, shared memory, counters, monotone circuits |
38 | Nam Sung Kim, Trevor N. Mudge |
The microarchitecture of a low power register file. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
37 | Guy E. Blelloch, Phillip B. Gibbons, Harsha Vardhan Simhadri |
Combinable memory-block transactions. |
SPAA |
2008 |
DBLP DOI BibTeX RDF |
memory-block transactions, priority write, read-modify-write, shared memory, transactional memory, queue, contention, combining, stack, linearizability, semaphore |
37 | James H. Anderson, Philip Holman |
Efficient pure-buffer algorithms for real-time systems. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
efficient pure-buffer algorithms, multiprocessor real-time systems, multi-writer read/write pure-buffers, overwritten data, client processes, handshaking mechanisms, concurrent read/write operations safety, quantum-scheduled systems, priority-scheduled systems, buffer word length, real-time systems, computational complexity, distributed algorithms, safety, multiprocessing systems, time complexity, processor scheduling, optimized algorithms, buffer storage, space complexity, wait-free algorithms, shared buffers, processor number |
37 | Weiwu Hu, Peisu Xia |
Event Ordering Condition for Correct Executions in Shared-Memory Systems. |
ISPAN |
1996 |
DBLP DOI BibTeX RDF |
write atomic write nonatomic, correct execution, shared-memory multiprocessor, sequential consistency, event ordering |
37 | Jason Gait |
Optimizing Unix Database File Operations. |
IEEE Softw. |
1994 |
DBLP DOI BibTeX RDF |
Unix database file operations optimization, Ratfiles, Rational File System, Unix kernel, atomic write, write-order discipline, data integrity, data integrity, optimisation, database management systems, Unix |
37 | Daniel M. Dias, Balakrishna R. Iyer, John T. Robinson, Philip S. Yu |
Integrated Concurrency-Coherency Controls for Multisystem Data Sharing. |
IEEE Trans. Software Eng. |
1989 |
DBLP DOI BibTeX RDF |
read-write synchronisation, multisystem data sharing, data sharing system structure, shared intermediate memory, early commit processing, write-serialization, integrated concurrency protocol, integrated concurrency-coherency control protocol, performance evaluation, performance analysis, protocols, distributed databases, concurrency control, queueing theory, buffering, buffer storage, queueing model |
36 | Akihiko Tozawa, Michiaki Tatsubori, Tamiya Onodera, Yasuhiko Minamide |
Copy-on-write in the PHP language. |
POPL |
2009 |
DBLP DOI BibTeX RDF |
php, graph rewriting |
36 | Yi Ge, Chen Wang, Xiaowei Shen, Honesty Young |
A database scale-out solution for emerging write-intensive commercial workloads. |
ACM SIGOPS Oper. Syst. Rev. |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Paul Beame, Dang-Trinh Huynh-Ngoc |
On the Value of Multiple Read/Write Streams for Approximating Frequency Moments. |
FOCS |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Liqun Cheng, John B. Carter |
Extending CC-NUMA systems to support write update optimizations. |
SC |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Byung-Do Yang, Jae-Eun Lee, Jang-Su Kim, Junghyun Cho, Seung-Yun Lee, Byoung-Gon Yu |
A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Eli Gafni |
Read-Write Reductions. |
ICDCN |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Jan Philipp Thoma, Tim Güneysu |
Write Me and I'll Tell You Secrets - Write-After-Write Effects On Intel CPUs. |
CoRR |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Jan Philipp Thoma, Tim Güneysu |
Write Me and I'll Tell You Secrets - Write-After-Write Effects On Intel CPUs. |
RAID |
2022 |
DBLP DOI BibTeX RDF |
|
35 | Philip Yaffe |
First write like you speak, then write like you write. |
Ubiquity |
2018 |
DBLP DOI BibTeX RDF |
|
35 | Hiroki Noguchi, Kazutaka Ikegami, Satoshi Takaya, Eishi Arima, Keiichi Kushida, Atsushi Kawasumi, Hiroyuki Hara, Keiko Abe, Naoharu Shimomura, Junichi Ito, Shinobu Fujita, Takashi Nakada, Hiroshi Nakamura |
7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme. |
ISSCC |
2016 |
DBLP DOI BibTeX RDF |
|
35 | Chien-Yu Lu, Ching-Te Chuang, Shyh-Jye Jou, Ming-Hsien Tu, Ya-Ping Wu, Chung-Ping Huang, Paul-Sen Kan, Huan-Shun Huang, Kuen-Di Lee, Yung-Shin Kao |
A 0.325 V, 600-kHz, 40-nm 72-kb 9T Subthreshold SRAM with Aligned Boosted Write Wordline and Negative Write Bitline Write-Assist. |
IEEE Trans. Very Large Scale Integr. Syst. |
2015 |
DBLP DOI BibTeX RDF |
|
35 | Dao-Ping Wang, Hon-Jarn Lin, Ching-Te Chuang, Wei Hwang |
Low-Power Multiport SRAM With Cross-Point Write Word-Lines, Shared Write Bit-Lines, and Shared Write Row-Access Transistors. |
IEEE Trans. Circuits Syst. II Express Briefs |
2014 |
DBLP DOI BibTeX RDF |
|
35 | Bastien Giraud, Amara Amara |
Read Stability and Write Ability Tradeoff for 6T SRAM Cells in Double-Gate CMOS. |
DELTA |
2008 |
DBLP DOI BibTeX RDF |
SRAM cell, Double Gate (DG), Static Noise Margin (SNM), Write Margin (WM) |
35 | James R. Lyle, Steven Mead, Kelsey Rider |
Disk Drive I/O Commands and Write Blocking. (PDF / PS) |
IFIP Int. Conf. Digital Forensics |
2007 |
DBLP DOI BibTeX RDF |
forensic tool testing, write blockers, Data acquisition |
35 | Chryssis Georgiou, Nicolas C. Nicolaou, Alexander A. Shvartsman |
Fault-tolerant semifast implementations of atomic read/write registers. |
SPAA |
2006 |
DBLP DOI BibTeX RDF |
communication rounds, read/write registers, fault-tolerance, distributed algorithms, atomicity |
35 | Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu |
A Log-Based Write-Back Mechanism for Cooperative Caching. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching |
35 | Grzegorz Malewicz |
A work-optimal deterministic algorithm for the asynchronous certified write-all problem. |
PODC |
2003 |
DBLP DOI BibTeX RDF |
design and analysis of parallel algorithms, write-all |
35 | Yen-Jen Chang, Chia-Lin Yang, Feipei Lai |
A power-aware SWDR cell for reducing cache write power. |
ISLPED |
2003 |
DBLP DOI BibTeX RDF |
circuit-level, write power, low power, cache, SRAM |
35 | Jan Friso Groote, Wim H. Hesselink, Sjouke Mauw, Rogier Vermeulen |
An algorithm for the asynchronous Write-All problem based on process collision. |
Distributed Comput. |
2001 |
DBLP DOI BibTeX RDF |
Write-all problem, Distributed algorithms, Dynamic load balancing, PRAM, Wait-free, Work complexity |
35 | Patrick M. Lenders |
Distributed Computing with Single Read-Single Write Variables. |
IEEE Trans. Software Eng. |
1989 |
DBLP DOI BibTeX RDF |
single read-single write variables, free, wait state, SRSW variables, regular sequential language, SRSW data type, parallel programs, distributed computing, distributed processing, message passing, operational semantics, asynchronous communication, syntax, busy, synchronous communication |
34 | Robert Law |
Using student blogs for documentation in software development projects. |
ITiCSE |
2011 |
DBLP DOI BibTeX RDF |
|
34 | Takeshi Sakurada, Yoichi Hagiwara, Hideto Oda, Masaki Nakagawa |
A Japanese Text Input Interface Using On-Line Writing-Box-Free Handwriting Recognition and Kana-to-Kanji Conversion. |
HCI (8) |
2007 |
DBLP DOI BibTeX RDF |
free-format handwriting recognition, Kana-to-Kanji conversation, pen interface |
34 | Hyunyoung Lee, Jennifer L. Welch |
Specification, implementation and application of randomized regular registers (brief announcement). |
PODC |
2000 |
DBLP DOI BibTeX RDF |
|
34 | Sarita V. Adve, Alan L. Cox, Sandhya Dwarkadas, Ramakrishnan Rajamony, Willy Zwaenepoel |
A Comparison of Entry Consistency and Lazy Release Consistency Implementations. |
HPCA |
1996 |
DBLP DOI BibTeX RDF |
parallel computation, shared memory, performance measurement, networks of workstations, consistency models |
34 | Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell |
The Rio File Cache: Surviving Operating System Crashes. |
ASPLOS |
1996 |
DBLP DOI BibTeX RDF |
|
34 | Toshihide Ibaraki, Tiko Kameda, Toshimi Minoura |
Serializability with Constraints. |
ACM Trans. Database Syst. |
1987 |
DBLP DOI BibTeX RDF |
|
33 | Ting-Lu Huang |
Fast Mutual Exclusion Algorithms Using Read-Modify-Write and Atomic Read/Write Registers. |
ICPADS |
1998 |
DBLP DOI BibTeX RDF |
|
33 | Rolf Hoffmann |
The GCA-w Massively Parallel Model. |
PaCT |
2009 |
DBLP DOI BibTeX RDF |
Massively Parallel Model, Global Cellular Automata, GCA with Write Access, Dynamic Neighborhood, Dynamic Cell Activation, GCA-w Applications, GCA-w Architecture |
33 | Jongmin Lee 0002, Soontae Kim |
An energy-delay efficient 2-level data cache architecture for embedded system. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
2-level data cache, early cache hit predictor, one-way write |
33 | Dariusz R. Kowalski, Alexander A. Shvartsman |
Writing-all deterministically and optimally using a nontrivial number of asynchronous processors. |
ACM Trans. Algorithms |
2008 |
DBLP DOI BibTeX RDF |
Write-All, distributed algorithms, shared memory, Asynchrony, work |
33 | Sylvain Stanchina, Matthias Meyer |
Exploiting the efficiency of generational algorithms for hardware-supported real-time garbage collection. |
SAC |
2007 |
DBLP DOI BibTeX RDF |
object-based processor architecture, write barrier, real-time garbage collection, generational garbage collection |
33 | Dean Hildebrand, Lee Ward, Peter Honeyman |
Large files, small writes, and pNFS. |
ICS |
2006 |
DBLP DOI BibTeX RDF |
NFSv4, pNFS, small write performance improvement, parallel I/O, distributed file system, parallel file system |
33 | Dariusz R. Kowalski, Alexander A. Shvartsman |
Writing-all deterministically and optimally using a non-trivial number of asynchronous processors. |
SPAA |
2004 |
DBLP DOI BibTeX RDF |
write-all, distributed algorithms, shared memory, asynchrony, work |
33 | Dan Liu, Xinsong Liu, Zhijie Qiu, Gongjun Yan |
A High Efficiency Distributed Mutual Exclusion Algorithm. |
APPT |
2003 |
DBLP DOI BibTeX RDF |
read/write clock stamp, self-stability, distributed mutual exclusion |
33 | Wee Teck Ng, Peter M. Chen |
The Systematic Improvement of Fault Tolerance in the Rio File Cache. |
FTCS |
1999 |
DBLP DOI BibTeX RDF |
write-back file cache, reliable memory, software fault injection |
Displaying result #1 - #100 of 6048 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|